yosys-nightly on AUR (Arch User Repository)

Last updated: June 17,2024

1. Install "yosys-nightly" effortlessly via AUR with the help of a helper(YAY)

a. Install YAY (https://github.com/Jguer/yay)

$ sudo pacman -S --needed git base-devel && git clone https://aur.archlinux.org/yay.git && cd yay && makepkg -si

b. Install yosys-nightly on Arch using YAY

$ yay -S yosys-nightly

* (Optional) Uninstall yosys-nightly on Arch using YAY

$ yay -Rns yosys-nightly

2. Manually Install "yosys-nightly" via AUR

a. Ensure you have the base development tools and git installed with:

$ sudo pacman -S --needed base-devel git

b. Clone yosys-nightly's git locally

$ git clone https://aur.archlinux.org/yosys-nightly.git ~/yosys-nightly

c. Go to ~/yosys-nightly folder and install it

$ cd ~/yosys-nightly $ makepkg -si

3. Information about the yosys-nightly package on Arch User Repository (AUR)

ID: 1428763
Name: yosys-nightly
PackageBaseID: 171116
PackageBase: yosys-nightly
Version: 1:20240317_yosys_0.39_5_g3231c1cd9-2
Description: Yosys Open SYnthesis Suite, A framework for RTL synthesis
URL: https://yosyshq.net/yosys/
NumVotes: 1
Popularity: 0
OutOfDate:
Maintainer: lethalbit
Submitter: lethalbit
FirstSubmitted: 1631827144
LastModified: 1710633609
URLPath: /cgit/aur.git/snapshot/yosys-nightly.tar.gz