How to Install and Uninstall nextpnr-ice40 Package on Kali Linux

Last updated: May 10,2024

1. Install "nextpnr-ice40" package

In this section, we are going to explain the necessary steps to install nextpnr-ice40 on Kali Linux

$ sudo apt update $ sudo apt install nextpnr-ice40

2. Uninstall "nextpnr-ice40" package

Please follow the steps below to uninstall nextpnr-ice40 on Kali Linux:

$ sudo apt remove nextpnr-ice40 $ sudo apt autoclean && sudo apt autoremove

3. Information about the nextpnr-ice40 package on Kali Linux

Package: nextpnr-ice40
Source: nextpnr (0.6-3)
Version: 0.6-3+b2
Installed-Size: 2306
Maintainer: Debian Electronics Team
Architecture: amd64
Replaces: nextpnr-ice40-qt
Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0), libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0), libc6 (>= 2.34), libgcc-s1 (>= 3.3.1), libpython3.11 (>= 3.11.5), libstdc++6 (>= 13.1), nextpnr-ice40-chipdb (= 0.6-3+b2)
Suggests: yosys, fpga-icestorm
Conflicts: nextpnr-ice40-qt
Size: 736848
SHA256: 5d0e6b7a37e30960a1a645c80c16ff83266e9878edf20fa673e56c0595374b8f
SHA1: 88fcd9010f41715e8744bc0112fc40de0bce78c7
MD5sum: ef25895a0b144acd01673db7a9199d64
Description: FPGA place and route tool for Lattice iCE40
nextpnr is a FPGA place and route tool. Its purpose is to turn a
topological description of digital hardware produced by an FPGA logic
synthesis tool such as yosys into an elaborate map of connections between
the hardwired functional units available inside the FPGA's fabric.
.
In order to verify the fully implemented design for proper operation at
high speed timing-analysis of the design is also supported.
.
nextpnr-ice40 supports the Lattice iCE40 series of FPGAs and uses the
hardware description chipdb from the fpga-icestorm package.
.
This package supports only the command-line interface, there is also a GUI
version in the nextpnr-ice40-qt package.
Description-md5:
Homepage: https://github.com/YosysHQ/nextpnr
Section: electronics
Priority: optional
Filename: pool/main/n/nextpnr/nextpnr-ice40_0.6-3+b2_amd64.deb